Skip to content

Pmod module with multiple PDM microphones to enable array audio signal processing

License

Notifications You must be signed in to change notification settings

MarcinWachowiak/pmod-pdm-microphone-array

Repository files navigation

Pmod PDM microphone array

Pmod module with multiple PDM microphones to enable array audio signal processing. Microphones are arranged in a linear array with a spacing of λ/2 at 3 kHz. The Pmod interface enables easy and straightforward evaluation with popular FPGA development boards. Used IM69D130 microphones have matched phase response (±2°) and small sensitivity tolerance (±1dB).

  • Manufactured prototypes

    2x1_top

Available configurations:

  • 2x1

    2x1_top 2x1_bottom
  • 4x1

    4x1_top 4x1_bottom
  • 2x2

    2x2_top 2x2_top

About

Pmod module with multiple PDM microphones to enable array audio signal processing

Topics

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published